Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by karthiks30

  1. K

    Question on memory map?

    Hi, I am new to RTOS field. I have an omapl138 EVM, How do I get the memory mapping of the periperals. I am trying to test for NAND flash by giving the command "nand read" and "nand write" but it is showing an error. For nand read command:nand write 0xc0700000 0xA00000 10 Error:NAND...
  2. K

    How to invoke a binary file in shell scripting?

    yes i m using the BASH shell..
  3. K

    How to invoke a binary file in shell scripting?

    Hi, I am new to Linux shell scripting, please help me how to invoke a binary file in the shell scripting. Thank you in advance.
  4. K

    Re: delay code in vhdl

    Re: delay code in vhdl Thank you for helping me out guys.. it worked, I connected the external clock for clk.....
  5. K

    Re: delay code in vhdl

    Re: delay code in vhdl library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity...
  6. K

    Re: delay code in vhdl

    Re: delay code in vhdl thank you sivamani and FvM... I wrote the code like (only architecture part) architecture Behavioral of ctr is begin process(clk) variable cnt:integer:=0; begin loop --wait until clk='1'; cnt:=(cnt+1) mod 65535; if(cnt=65535)then c<=cnt...
  7. K

    Re: delay code in vhdl

    Re: delay code in vhdl oh okay... can we do it using counter in the programming.???
  8. K

    Re: delay code in vhdl

    Re: delay code in vhdl Hi, I have an LED connected to CPLD I/O port i wrote the vhdl code to toggle the LED, but it is not visible. can anyone help me how to write a delay in vhdl with an example so that LED blinking is visible outside. do i need to use any looping technique. please help...
  9. K

    Analog power for digital ICs

    Hi, I hope this information is helpful to you.. As far I know the sole purpose of using Inductor is used to produce stable current( assume as a filter) by smoothening current if there is any peak overshoots. Though in schematic it looks like a two different powers(analog and digital)...
  10. K

    Re:communication between multiport ethernet switch and the processor

    Re:communication between multiport ethernet switch and the processor A ethernet switch(HUB) that has one input and multiple outputs(RJ45). The processor needs to be interfaced with the ethernet switch to control the output ports. what is the communication between the input of the ethernet...

Part and Inventory Search

Back
Top