Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by karenmuller

  1. K

    Problem with TO_INTEGER in VHDL

    LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY mult4 IS PORT ( a, b : IN std_logic_vector(3 DOWNTO 0); cout : OUT std_logic_vector(7 DOWNTO 0) ); END mult4; ARCHITECTURE behave OF mult4 IS SIGNAL result : std_logic_vector(7 DOWNTO 0)...

Part and Inventory Search

Back
Top