Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by karan hans

  1. K

    [SOLVED] error by modelsim on a simple mux 2 design

    2) works ' i dont understamd 1) coz there are only 4 prts which i have used
  2. K

    [SOLVED] error by modelsim on a simple mux 2 design

    well then i tried the following include mux_using_assign.v ; module test_mux ; wire t_mux_out; reg t_din_0,t_din_1,t_sel; mux_using_assign dut(t_din_0,t_din_1,sel,t_mux_out); /*mux_using_assign( .din_0(t_din_0), .din_1(t_din_1), .sel(t_sel), .mux_out(t_mux_out) );*/ initial...
  3. K

    Simple script to simulate.

    you would need to do a make to a makefile under that implement all your batch commands(i come from windows) for example if your using modelsim which has a command prompt youd write $ ncvlog -work work testbench.v design.v > logfile.log then do a ncsim with the various design optimization switch...
  4. K

    [SOLVED] error by modelsim on a simple mux 2 design

    hi, the following is the mux design module mux_using_assign( din_0 , // Mux first input din_1 , // Mux Second input sel , // Select input mux_out , // Mux output ); //-----------Input Ports--------------- input din_0, din_1, sel ; //-----------Output Ports---------------...

Part and Inventory Search

Back
Top