Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by junior_hpc

  1. J

    Simplest programm JHDL Java for Xilinx Artix 7

    Hello. I'm trying to write my first program in JHDL (Java) for my Xilinx Artix 7 ac701. The program must switch on a LED by pressing a button on my FPGA. First I write my Java code. In the Java code I define an input named a and one output named led. The method on() has to switch on the LED by...

Part and Inventory Search

Back
Top