Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by joki_himself

  1. J

    modelsim - "ITERATION LIMIT REACHED" problem

    I stumbled over the iteration problem with Questasim 6.5. Then I implemented a module to closely study the simulator bahaviour - I still have no clue what the problem could be. The test module describes two circuits that communicate via two signals, enCalc and calcCpl. Due to the cross coupling...

Part and Inventory Search

Back
Top