Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jojo12

  1. J

    VHDL code - Can't resolve multiple constant drivers for net 'a'

    If anyone can help it would be greatly appreciated. I can't figure out how to specify a in the code to not get the error. I'm getting this error for all a's (a(3), a(2), a(1), a(0)) library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_1164.all; entity counter is port (x : in...
  2. J

    Need help on VHDL code for a 4 bit counter. with Error (10327): VHDL error at counter.vhd(26): can't determine definition of operator ""<"" -- found 0

    In my class, in slides that is how we write if statements in Quartus. It won't work without it.
  3. J

    Need help on VHDL code for a 4 bit counter. with Error (10327): VHDL error at counter.vhd(26): can't determine definition of operator ""<"" -- found 0

    -- Counter1bit Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter1bit is port( D, clk, clr : in bit; -- input Q: out bit ); -- output end counter1bit; architecture behavior of counter1bit is begin process (clk,clr) begin if clr <=...

Part and Inventory Search

Back
Top