Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jlon

  1. J

    [SOLVED] question about Brent-Kung adder with Libero SmartGen

    I don't have that tool available to me. I'm using the free Libero toolkit that came with the Actel IGLOO Nano kit.
  2. J

    register file question

    Can anyone help spot what's wrong with this code, or are my expectations wrong? I tried to create a register file where I could write to any two addresses (out of 16) synchronously, and read any two addresses anytime. Here's what I have: module mod_regfile ( input wire clk, // write...
  3. J

    [SOLVED] question about Brent-Kung adder with Libero SmartGen

    Using Libero's SmartGen tool, today I created a 16-bit Brent-Kung adder/subtractor. I pasted the resulting Verilog code into an ALU module and ran a few (10) tests against it. It ran fine. And the result was a LOT smaller than the one synthesized from pure behavioral Verilog. :-) But when I...
  4. J

    [SOLVED] incomplete sensitivity list -- really?

    I'm doing a simple Logical Unit module with a few logical functions working on 16-bit values. I want to trigger this logic with a statement run off clocked logic in another module... so I put a "strobe" input and made that the only thing that triggers: module mod_logic_unit ( input wire...
  5. J

    [SOLVED] need help: bidirectional data bus and memory model (Verilog)

    As part of a larger project, I am trying to model a memory subsystem and am having trouble. It's a static async SRAM with an 8-bit bidirectional IO port. The SRAMs are loading their images from the input files properly. The values when the 'A' (CPU) side is writing are being saved in the SRAM...
  6. J

    [SOLVED] need assistance with bidirectional data bus model (Verilog)

    As part of a larger project, I am trying to model a memory subsystem and am having trouble. It's a static async SRAM with an 8-bit bidirectional IO port. The SRAMs are loading their images from the input files properly. The values when the 'A' (CPU) side is writing are being saved in the...

Part and Inventory Search

Back
Top