Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Ikeuchi

  1. Ikeuchi

    vhdl code for d flipflop

    Re: d flip flop code in vhdl The Code: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY dtri IS PORT( clk,clrn,prn : IN STD_LOGIC; d : IN STD_LOGIC; q : OUT STD_LOGIC ); END dtri; ARCHITECTURE a OF dtri IS BEGIN PROCESS(clk,clrn,prn) BEGIN IF clrn='0' and prn/='0'...

Part and Inventory Search

Back
Top