Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Hyro

  1. H

    Port mapping is giving me hell

    Thanks guys to all the replies. I did manage to get it working, that is that the code does work I ran the code through a Altera software pack rather than the xilinx 10.1 package I was using, the code simulates perfectly in it. I'd like to just say thanks to everyone for helping. Also vipinlal...
  2. H

    Port mapping is giving me hell

    I tried to fix this by creating more output pins as so: entity MultiplexerX6 is Port ( DataStreams : in std_logic_vector(191 downto 0); SelectLine : in std_logic_vector(11 downto 0); AOut: out std_logic_vector(7 downto 0); BOut: out std_logic_vector(7 downto 0); COut: out...
  3. H

    Port mapping is giving me hell

    Your right! I've made some changes to the code but it looks like it not working again. Code: _________________________________________________________________ entity MultiplexerX6 is Port ( DataStreams : in std_logic_vector(191 downto 0); SelectLine : in std_logic_vector(11 downto 0)...
  4. H

    Port mapping is giving me hell

    I see what you mean, but there are 8bit outputs for each ABCDEF (OUTPUT's). You see I'm trying to generate 6 Multiplexers that take 32bits as an input and release a 8bit output. By assigning ABCDEF(0) <= OutA_temp(0); means only 1 value in the vector is being allowed to output does it not...
  5. H

    Port mapping is giving me hell

    Having some real problems with some port mapping I know its probably something really easy, But I'm new to VHDL so I can't figure it out, would really appreciate the help. __________________________________________________________________ Code: entity MultiplexerX6 is Port ( DataStreams : in...
  6. H

    VHDL Problem, Can anyone help me?

    Thanks it works now
  7. H

    VHDL Problem, Can anyone help me?

    I'm new to VHDL so i'm still trying to get to know the basics. What I'm having problems with is a 8-bit DFF(Flip Flop) what i'm trying to do is recreate this program 6 times so that when the 8-bits go in it hold them till a total of 32-bits are held then release to a single output. I keep...

Part and Inventory Search

Back
Top