Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hiyun87

  1. H

    Where can I download Synop$y$ PrimeTime for linux?

    if you will access s/y/n/o/p/s/y/s ftp site. you must have s/y/n/o/p/s/y/s site ID
  2. H

    GCLK pins on Xilinx FPGAs

    xilinx gclk If you use FPGA Express, then You avoid this problem to use constraint edit. after GTECH mapping, You have to edit constraint. select port TAB, then check "don't use" global buffer section at non-dedicated clock pin. place & router engine don't complain.

Part and Inventory Search

Back
Top