Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hahaguy2

  1. H

    help on technology files for creating synopsys milkyway data

    create technology file synopsys SOLD is Synopsys Online Documentation. Find out your company SiteID and register at solvnet.synopsys.com. You can find the documentation online after logging in solvnet or download the whole bundle in synopsys ftp
  2. H

    How to use physical compiler and astro?

    From 2004.06 onward (or maybe earlier), you can use milkyway database across Physical Compiler and Astro. In Physical compiler, use read_mdb and write_mdb to read and write milkyway database.
  3. H

    How is it routing different from pre-routing ?

    Routing and Pre-routing Preroute should be anything you route manually (such as power and ground signals) before the actual tools routing.
  4. H

    clock tree synthesis versus logic synthesis

    Just something to add on, it is also important to take care of clock skew in CTS, which is different in timing between registers of the same clock.
  5. H

    Astro or SOC Encounter?

    encounter astro Encounter for the floorplanning, Astro for Routing. Placement still prefer Physical Compiler. However Astro is very very expensive.
  6. H

    suggestion on IC front-end design

    Just pratice your interviewing skill :) You can learn more about technical when you go into the industry
  7. H

    how interconnect length depends on fanout

    wire load has zero net area A wire load model is an estimate of a net’s RC parasitics based on the net’s fanout:
  8. H

    book on backend flow in standard cell based design

    Advanced ASIC Chip Synthesis : Using Synopsys Design Compiler, Physical Compiler, and PrimeTime
  9. H

    what is the backend design flow

    what does backend design mean? For synopsys tools: 1. Synthesis (Design Compiler) 2. Floorplan (Jupiter) 3. Placement (Physical Compiler) 4. Routing (Astro) 5. Verification (Hercules, Formality, Primetime)
  10. H

    How good is FreeBSD for a workstation ?

    Anybody use FreeBSD? I used freeBSD before but the user group is not that wide. Linux is better in user group support.
  11. H

    MOTOR HORSE POWER CALCULATIONS

    1 HP is 745.699 watts
  12. H

    Is Red Hat Enterprise Linux AS3 compatible with EDA tools?

    synopsys latest version of tools is running fine in Enterprise Redhat
  13. H

    how to learn C language ?

    i recommend you to start with C, because it is the most basic language. Once you learn and play around with C for a while, you can go for C++ which is the basic of object oriented language. This is my 2cents
  14. H

    I need a quick Synopsys Design Compiler tutorial!

    design compiler 2004 synopsys tutorial This post has the 2003.06 dc workshop by Synopsys
  15. H

    Salaries in singapore....

    ASIC = Application Specific Integrated Circuit RFIC = Radio Frequency Integrated Circuit

Part and Inventory Search

Back
Top