Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by gyrajput

  1. G

    how to nterface seven segment and LCD display of spartan6 FPGA board with my CPU

    Hello all, I need to get output of my matlab simulation on spartan 6 XC6SLX150T FPGA LCD display, steps are as follow 1) i run my simulation with xilinx 14.1 system generator, 2) i run the .xise file generated in matlab with the xilinx 14.1, 3)the program get synthesize, implemented design...
  2. G

    Code for division in VHDL

    Re: vhdl division code @ mejdi.... thanks for this division code.... sir i also needed the sin(X),cos(X),tan(X)and the exponential function ........ please help me if know this .......... thanks and regards grajput
  3. G

    how to call packag and component in xilinx

    ok..... so my code and port maping is "correct " thanks tricky for reply,
  4. G

    how to call packag and component in xilinx

    thanks arui..... it's works............. but when i created test bench then i have to put three values as input a<='1'; b<='1'; C<='1'; then i got the o/p i wann to give i/p just as a and b only, please correct me where i made a mistake.
  5. G

    how to call packag and component in xilinx

    hello all, i tried to add packge and component in and function my top code is : library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c : in STD_LOGIC...
  6. G

    Trigonometric functions and arithmetic in VHDL

    hi all i am new to this blog. and i try to perform same trignometric function in xilinx13.2 but i got error ERROR: The Top module has not been specified. This can happen if no sources have been added to the project, will you please help out me what i am missing .... thanks and regards grajput
  7. G

    [SOLVED] suggest me how to find "components" library for vhdl sin & cos fun in xilinx

    Re: suggest me how to find "components" library for vhdl sin & cos fun in xilinx hi barry, thanks for reply
  8. G

    decimal to floating point conversion

    ok now it's clear that xiline and FPGA work only on digital number only..... thanks Shibin,
  9. G

    decimal to floating point conversion

    thanks Shibin, is there any solution of problem, as i shown in block diagram. thanks n regards grajput
  10. G

    how to convert binary to BCD or decimal in assembly

    Re: convert bcd to decimal hi rapina, is that possible to convert decimal to binary or binary to decimal conversion in VHDL / Xilinx.
  11. G

    decimal to floating point conversion

    thanks tricky for u r quick reply, i know the coding to do the add,sub, mul in fixed & floating point, but i want my i/p is decimal(not binary 01010) it is like: 11.15 or 5.5 and o/p is also decimal. is that possible
  12. G

    real to binary ---& ---- binary to real conversion

    hi i am searching for the process in which i/p is real number then vhdl/xilinx +, - , * , / processes will done ,.......... and in o/p i will get real number i have a block diagram as shown in below please give me solution to convert real number in to binary in xilinx find the dig in attachment...
  13. G

    decimal to floating point conversion

    hi shree.... i am also searching for the same solution.......... if u got the solution then please help me.... i have a block diagram as shown in below please give me solution to convert real number in to binary in xilinx find the dig in attachment regards.... rajput
  14. G

    [SOLVED] suggest me how to find "components" library for vhdl sin & cos fun in xilinx

    Re: suggest me how to find "components" library for vhdl sin & cos fun in xilinx thank u so much barry for a quick reply.... i want to generate the sin/cos function with VHDL code so should i search for a lookup table for the same...
  15. G

    [SOLVED] suggest me how to find "components" library for vhdl sin & cos fun in xilinx

    suggest me how to find "components" library for vhdl sin & cos fun in xilinx hi all..... i am new to this blog, i want to create sin(x) and cos(x) function in vhdl(xilinx) ..... i also find the code for it, but there is a library added "components library" use IEEE.std_logic_1164.all; use...

Part and Inventory Search

Back
Top