Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by gs11

  1. G

    problem in rtl tool......

    hello everybody i was studying multiplier and i write code for both 4x4 braun multiplier and then for column multiplier, which is designed to consume low power due to bypassing circuit. but when i synthesize the design in rtl tool then i found that column consumes more power...why so...
  2. G

    help regarding adders applications............................

    can they be used in applications like multiplier(4 bit adder)
  3. G

    help regarding adders applications............................

    hello everyone can anybody tell me that what are the different applications where we can use the 4-bit adders please it's urgent
  4. G

    help regarding Verilog

    thanks for reply sink0 I also write it in the same manner but my teacher said that it is incorrect way(that how u can assign value to the wire).
  5. G

    help regarding Verilog

    hi can anyone tell me that how can I provide the fixed value(Vcc or gnd) to a wire in verilog

Part and Inventory Search

Back
Top