Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by gokulfun

  1. G

    Initialize Ram using verliog - urgent

    Hi all, I need to Initialize all the data RAM to $55 (use a loop for this) in verilog. The data ram memory size is 256. We need to Initialize memory using software and not hardware. Can anyone pls help me resolve this issue.. Thanks in advance..
  2. G

    Warning : Inferring latches for signal or varialbe

    Hi all, Thanks for ur answer. its works. i got some warning like Tri state node(s) do not directly drive top-level pins Is this warning matters or not... coz when trying to generate functional simulation netlist, am not getting the wave from file. Can anyone pls help me to resolve this...
  3. G

    Warning : Inferring latches for signal or varialbe

    [/code] library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity datapath is port( reset, clk, start: in std_logic; mainout: out std_logic_vector( 7 downto 0 ) ); end datapath; architecture struct of datapath is component controller is port( clock,GO,rst: in...

Part and Inventory Search

Back
Top