Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by GaoMing

  1. G

    Help me troubleshoot my QPSK modulator.

    in generalprivateopenmdl at 13 kokei74: to your 1st question: you can see matlab help. to your 2nd and 3rd questions: the noise is caused by intersymbol interference! do you know 1st Nyquist ISI criterion?if you know 1st Nyquist ISI criterion,the answer would be obvious,because the...
  2. G

    Help me troubleshoot my QPSK modulator.

    plot output signal for a qpsk modulator kokei74, I know the reason! My matlab version is 7.7.0(R2008b).The following model file is created by matlab 7.0,you can try this :
  3. G

    Help me troubleshoot my QPSK modulator.

    qpsk filter modulator 1.The framing method of Raised Cosine Transmit Filter should be "Maintain input frame size"(sample based); 2.Discrete-Time Scatter Plot Scope and Discrete-Time Eye Diagram Scope should be driven by a complex signal,while scope should be driven by a real type signal. 3.The...

Part and Inventory Search

Back
Top