Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ganeshmirajkar

  1. G

    Need help to design PCB for Wireless Transmitter (ST-TX02-ASK) 433MHZ

    Ok. Thanks. Actually I went forward with making my own footprint for ASK tx and RX. Thanks.
  2. G

    Need help to design PCB for Wireless Transmitter (ST-TX02-ASK) 433MHZ

    Hi speedEC, Did you find a solution to this problem. I am trying to do the same thing. If you have made the footprint for expresspcb can you please share it with me
  3. G

    Counting the position of ones

    I think i am in the wrong forum full of egoistic people.... You what what ever you assume but frankly i dont have time to deal with your ego here....u r free to write what ever you want but that is just useless....
  4. G

    Counting the position of ones

    I think i am confised now.... :(
  5. G

    Counting the position of ones

    Actually that is not my misunderstanding... I am trying to say that thats why i cannot pipe line.... ---------- Post added at 11:03 ---------- Previous post was at 10:58 ---------- ok... i think i will ask you a question and tell me how will you pipeline in that case. Suppose i have a 4...
  6. G

    Counting the position of ones

    The fact that i need data to be stable for 16 clocks.
  7. G

    Counting the position of ones

    You are right but then i cannot pipeline the data here because i need the data to be constant for next 16 cycles in order to parse it bit by bit. If i take the next data into same register then that will break my operation. In pipe lining you assume that there are different operations to be done...
  8. G

    Counting the position of ones

    No. But in my case the customers are not ready to wait.....
  9. G

    Counting the position of ones

    By ports i mean i cannot stop data from coming. I have to process it.There is no buffer. So no imagine that i have 16 input ports. From all the ports i am getting data on each clock. On each clock i must see what all ports are carrying valid data and write it them to fifo's. Now to implement...
  10. G

    Counting the position of ones

    Ok well i am sorry for the wrong info. Actually there are 16 data ports on left hand side not buffers. So now on each clock cycle there will be data (valid or invalid denoted by msb bit of data) on 16 ports and i need to put this data into the fifos on right hand side. The writing in fifo is...
  11. G

    Counting the position of ones

    "I understand that the whole idea of getting the indexes of ones is that you then have the indexes of ones " -- I dont know what you mean by this. "Is this going to be synthesized? Or is it just for simulation?" -- I said i want to design a combinatorial circuit. Why would i write a...
  12. G

    Counting the position of ones

    for input_a output will be 64'hfedc_ba98_7654_3210 for input_b output will be 64'h0000_0000_0000_0000 For input_c output will be 64'h0000_0000_0000_0000 .. i will qualify the lsb nibble 0 by looking at the input The whole idea is to get the indexes of ones. Now since the no of ones can max be...
  13. G

    Counting the position of ones

    Hello lucbra. Thanks for your reply.. I tried searching a lot and i did not found any such solution. Can you please direct me to any such thread. Thanks
  14. G

    Counting the position of ones

    I want to design a combinatorial circuit for an fpga which will count the position of ones in a 16 bit vector. eg1 if vector is 16'b0000_0000_0011_0101 then output should be 64'h0000_0000_0000_5420 eg2 if vector is 16'b0000_1010_0000_1010 then output should be 64'h0000_0000_0000_b931. Please...

Part and Inventory Search

Back
Top