Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Froed

  1. F

    Looking for OrCAD SDK/API that can be used to access OrCAD via DCOM

    Hi all, is there an OrCAD SDK or API available which can be used to access OrCAD via DCOM or similar Thanks in advance Froed
  2. F

    syn DC and verilog pullup/pulldown keyword

    verilog pullup pulldown ahhh, ok its versiondependent, that might be :-) I tried it a few minutes ago with 2000.5 on NT and it works *jipieh* ok, i think the variable is something like "enable_unti ....." thanks for the good ideas
  3. F

    syn DC and verilog pullup/pulldown keyword

    pulldown verilog sorry, but i cant believe this :-) the 2000.5 solaris release of my collegue CAN handle this ( I know, that the sold tells, that this cannot be used ) But i got the modules written before from him and it works. He instantiated the above modules and replaced the "pullup (one)"...
  4. F

    syn DC and verilog pullup/pulldown keyword

    verilog pullup Hi ho, I have ap roblem with a entlist and DC 2001.8 under linux. In the netlist, there are a few verilog statements with the keywords pullup and pulldown ( i,e, pullup (zero) ). So, my DC cannot read in this. "pullup not supported by synthesis" or "error at or near token...
  5. F

    Problem with building gtech files in Synopsys DC Win NT

    Re: synopsys win nt problems hiho, AFAIR, this was a problem caused by the LSI License string. So, generate a new license without the LSI Feature or remove the Licensestring in the file. for further instruction use the search , I think there were one or two threads about this topic hope...
  6. F

    Trouble-Free Switching Between Clock

    link is down ! so, can upload again or email/pm me ??? thx Froed
  7. F

    (E-Book) Verilog Language Reference Manual pdf

    whats about the VHDL Language Reference Manual ?? Does Anybody has this ??
  8. F

    The Asynchronous Logic - link collection

    Does anyone have links to High-Speed-Digital Design ( With Verilog or VHDL ) ???
  9. F

    Simulation of circuit with Xilinx Foundation 4.1

    use any Modelsim Version you have. to simulate the Gate-level (i.e. after Place and Route ) write out a verilog netlist. Then compile the used xilinx-Technology in a library of your choice. Load the design with this Library and then you can simulate it
  10. F

    [SOLVED] How to quickly create arbitrary input for Analog Artist?

    mhhh, dont know how this works with Cadence Tools. But for MS-Simulation, you could use the Avanti-Tools ( dont know the exact name ). There you can make a complete digital Simulation according with analog Part. Hope this is what you meant

Part and Inventory Search

Back
Top