Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by fpga123

  1. F

    How to integrate C++ applications in PLI with nc-verilog

    pli with ncverilog https://spaces.msn.com/members/EastDragonInc/ there is some example , maybe which is useful !!!
  2. F

    help:::about PLI and VPI problem!!!

    I want to run simulation with NC_verilog. during sim i want to dump fsdb file and call some MyPLI function and task. My problem is : >>ncverilog -f run.f after type the above command, the nc will load the default pli&vpi lib, which is libvpi.dll and libpli.dll. Now i have two pli.dll one is...
  3. F

    help :need one CPU model!

    i want to need one risc cpu behavioral model to verificate my design, maybe it could be written with Systemc. where i could find it? thank all.
  4. F

    to wadaye (about asic design)

    yes. I know what you said. thx you very much. by the way, i think your photo is very funny.maybe he is your idol.
  5. F

    to wadaye (about asic design)

    thx. but where i could get what you said about? i think i could do the synthesis with DC firstly. but what is the synthesis target, max frequency timing or min area? On FPGA i require the design to fit the device with suitable frequency and met the suitable constraints. but on ASIC what is I...
  6. F

    to wadaye (about asic design)

    pls give some answer! Now i am take part in one prj about pci ip desgin. i have finished the FPGA verification. i am familiar with the FPGA design flow. but i am going to start the ASIC desgin flow later. so i want you to give me detail desgin flow. i have read the book advaned chip...
  7. F

    How to read/write a text from/to a file for Quartus II v.4?

    Write/Read Command i don't know why you want to do it. maybe you express it clearly i could help u!
  8. F

    lint tools for verilog

    verilog lint tool lec is better
  9. F

    i want to design a pci interface

    but where i could find one PCI module for test? thx
  10. F

    How can I use 3volts to turn on a motor running off 9 volts?

    switch turn on at 3v electronic you could use 317 to convert the 9v to3 v! it is very easy
  11. F

    help me: where is Specman s/w?

    but i could not use it without license!!!
  12. F

    want to learn asic, how to begin

    learn asic now i am finishing one design verification on FPGA. i want to go on with this ASIC design. somebody could tell me, how to begin it!? what is the design flow? which tool is used? thx! green hand
  13. F

    a problem that simulate using modelsim simulator

    so, if you want to avoid this error inf, you could shut down the specify statement in ram module!
  14. F

    help me: where is Specman s/w?

    When i am learning the verification method according to "Writing testbench", i know E language. so i want to learn it and use it to write some simple program. but i cann't find the Specman anywhere. So anyone could help me. i only want to learn it. thx all.
  15. F

    Looking for Verilog or VHDL software

    i think it is the best way to rewrite it in the other language. if you know the structure of design , you will implement it easier.

Part and Inventory Search

Back
Top