Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Experl

  1. E

    Same Problem (Width mismatches. Expected width to be 8, actual width is 3 for dimension 1 of D

    Re: Same Problem(Width mismatch. Expected width 8, Actual width is 3 for dimension 1 Yes but how to solve that problem. Should i do a sigAux.
  2. E

    Same Problem (Width mismatches. Expected width to be 8, actual width is 3 for dimension 1 of D

    Same Problem(Width mismatch. Expected width 8, Actual width is 3 for dimension 1 of D library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.std_LOGIC_arith.ALL; use IEEE.std_logic_unsigned.ALL; entity Deco3a8Reg is port ( D : in std_logic_vector (2 downto 0); CE : in std_logic; Clk : in...

Part and Inventory Search

Back
Top