Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by er.deepak123

  1. E

    Insertion of Alignment Marker in 40GbE

    Hi, What is the BIP of First alignment marker? How to manage insertion of AM after every 16k in each lane at the same time??
  2. E

    Problem with 1000bASE-X PCS/PMA Auto-Negotiation

    Hi all, I have doubt on Auto-negotiation. I enabled autonegotiation on both PHY dev via MDIO registers and I set advertisement registers for auto-negotiation but I am not getting AN complete signal. I did all required iteration but i am not getting link status signal high. can u suggest me...
  3. E

    [Moved] code for 32 bit CRC Polynomial in VHDL

    hi friends i hav code for CRC calculation but i am not able to understand the code.. please help me, how they are doing the code and which polynomial is coded in the code. tc(0) := d(0) xor crc(31); tc(1) := d(1) xor crc(30); tc(2) := d(2) xor crc(29); tc(3) := d(3) xor crc(28)...

Part and Inventory Search

Back
Top