Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Electrolitic

  1. E

    [moved] Inferring latches issue

    Hello, I'm kind of new to electronics. I'm working on a project for school. I created some VHDL code, simulated it on a Modelsim Altera waveform, and everything worked correctly. I am now trying to use Quartus II to simulate it on an Altera DE2-115 board. I connect the amount std_logic_vector to...

Part and Inventory Search

Back
Top