Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by electrojit

  1. E

    What do you mean by underbraked wheels??

    What do you mean by underbraked wheels??
  2. E

    What is Variant Coding used by BOSCH for Their ECU??

    Does any one knows how Bosch has implemented Variant coding or what is it??/ I have heared that Variant Coding will automatically detect the type of Gear box, engine and accordingly some constants will be modified... But how they have implemented it?//
  3. E

    How to find the current in all branches of the network using Kirchhoff's Law?

    Re: PLS HELP just convert the upper loop (Triangle) Delta to Y (star) connection then it can be solved very easily.
  4. E

    C code to implement transfer function?

    c code for transfer function Hello Can any one please tell me that How to implement any transfer function of control system (temperature Control System) using C. For example how to implement (1/s+1 ) in C. Please help me.
  5. E

    How to generate pseudo random numbers on 8-bit micor?

    I want to gerate random number without using any inbuilt functions, so please tell me any simple algorithm if possible as early as possible. Thank you in advance!
  6. E

    parallel port+graphic function in c langauge

    tom swan gnu c++ for linux pdf vistit here
  7. E

    Good Site to learn Interfacing with C

    https://www.learn-c.com/ download the whole site with "Offline Explorer" and enjoy the contents offline at your convinence
  8. E

    what is the simplest pic16f877 programmer

    The best and cheapest programmer for PIC in the world is here: **broken link removed**
  9. E

    Please send me a working F to V circuit using TC9401/LM331

    lm331+counter Hello every one please send me a working Frequency to Voltage circuit using TC9400 or LM331 or LM2907/LM2917 with pcb and schematics for 0 to 5MHZ (500KHz). Please send the details also and tell me which capaciters to used (mica, papper or electrolite etc).
  10. E

    I want the pcb of lpc2129 arm home made board

    I want the pcb of lpc2129 arm home made board
  11. E

    Help me? How to design Current Amplifier for PLC analog o/p?

    Hello everyone, I want to design a current amplifier for the PLC's analog output, which gives me 0 to 10 Volts with 20mA. But I want 0 to 10 Volts with 500mA using Current amplifier. Can anyone give me the Transister based circuit or the IC number to solve this problem. I'll be indebt to you...
  12. E

    8085 simulator with macromedia(or adobe?!) flash (plr 8 ned)

    Re: 8085 simulator with macromedia(or adobe?!) flash (plr 8 Thank you for sharing. I want the source code and the full version if possible.
  13. E

    how can program the pic by using matlab?

    I can give you certain ideas (not implemented but can try): 1) As you are using Parallel Port, you can run the *.exe from matlab. So use any dosbase programmer available on the net which accepts the command line arguments. Make one Batch file and call that batch file from matlab. (You can even...
  14. E

    Transistor Circuit for Inverting 24V to GND and Vica Versa?

    24v transistor Hello everyone, Can any one provide me the circuit for Inverting the 24V to GND and GND to 24V. I am using PLC which gives the Ground Switching and I want to use the same PLC code to another application without change for two outputs. Please help me as early as possible. I want...
  15. E

    MCU programming Software(Windows) Development help Required.

    Re: MCU programming Software(Windows) Development help Requi If you have completed your project---> Can you please upload it for all others?

Part and Inventory Search

Back
Top