Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by eaglesmiling

  1. E

    All Synopsys Tutorials

    Can not connect, /PUB is empty, HELP!
  2. E

    How to move into FrontEnd design process from BackEnd?

    Re: Moving into Front End Try do find materials with the help of GOOGL Do small projects such as :UART, I2C controller... Write the design specification, then coding , do synthesis and write testbench then do simulation.
  3. E

    Where can I find the updated Synopsys Online Document ?

    SOLD help! Where can i find the updated Synopsys Online Document ? I only have SOLD 2000, Thanks
  4. E

    Books for Beginers in [VHDL or Verilog]

    YOU can download it from the flowing website: **broken link removed**
  5. E

    Any one have the idea of DFT for Soft Core?

    DFT: Design for Test If i get a free ipcore, for example: freeRISC 8, How can I do the DFT job for it?
  6. E

    Designing Digital Signal Processing with FPGAs

    I have the ebook, but it's a scanned version and too large(65MB). anyone need it? and, how ?
  7. E

    How to implement a specific MCU/CPU in FPGAs?

    I've seen your post, and downloaded CPUGen, thanks for your help! by the way, would you tell me where i can find more materials?
  8. E

    How to implement a specific MCU/CPU in FPGAs?

    mc6805 using vhdl Anybody has such idea or maybe you can give some advice:) and, where can i find some useful materials ? many thanks to all of you!

Part and Inventory Search

Back
Top