Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by diogratia

  1. D

    [SOLVED] VHDL - what is vmode? A keyword I've never heard of?

    Re: VHDL - what is vmode ? a keyword i've never heard of? Shared variables in VHDL -2008 are required to be protected types accessed by methods. Your shared variables won't work in -2008, also shared variables as protected types introduced in -2000 are not supported for synthesis. It would...
  2. D

    Which VHDL/Verilog Editor is the best ?

    Re: verilog editor windows Perhaps you could try a new Jedit? 4.4.2 does Verilog syntax highlighting window splitting code segment folding, indentation... If you're not using an outdated version try Utilities->Global Options->Editing dialog or for a trying it out Utilities->Buffer Options...
  3. D

    gtkwve not displaying waveform

    I created a test bench for tra.vhdl (tra_test.vhdl): -- -- tra_test.vhdl, test bench for tra.vhdl -- -- supplies clock and reset -- -- library ieee; use ieee.std_logic_1164.all; entity tra_test is end; architecture test of tra_test is signal q: std_logic_vector (2 downto 0); signal clk...

Part and Inventory Search

Back
Top