Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Dinesh Varma4

  1. D

    Abstract generator gdsii file import problem

    I finally able to resolve this error. The problem is when ever i was giving my library its not using the technology library that i dumped and modified. Once i made changes to my .tf file, i created new library with the modified tech file.
  2. D

    Abstract generator gdsii file import problem

    Hello, First I exported File-> export-> stream. and .lef file of inverter designed using cms9flp technology Once the file were exported then i invoked abstract generator and i gave library, when i was trying to import gdsii file i am getting the following errors. ERROR ABS-216: There are...
  3. D

    Low (threshold)Vt vs High Vt for analog designs

    I will go ahead with the high vt as per your input and will change the devices at critical path.
  4. D

    Low (threshold)Vt vs High Vt for analog designs

    I am designing an ADC at high frequencies As low vt has higher Power Dissipation compared to high vt so i thought hight vt is a good option. But i would like to hear pros and cons who worked on them before
  5. D

    How to simulate 'X' in conditional statements

    anything that your process needs to know about changes of inputs or signals should be in the sensitivity list
  6. D

    nearly accurate counter with VHDL

    Everything is working good but the only problem is you didnt initialized the signal C.. I attached the simulated code and result library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_logic_unsigned.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with...
  7. D

    How to simulate 'X' in conditional statements

    Well try this method .. include condition_a and condition_b in process sensitive list And by the way its really not a good idea to have 'X' as an output, works for the simulation but not when you are dumping the code on hardware
  8. D

    Low (threshold)Vt vs High Vt for analog designs

    Hello All, What exactly is the difference between the low Vt and high Vt ? which one is most suitable for analog applications at high frequencies (2-5GHz) Thank you!!
  9. D

    Low (threshold)Vt vs High Vt for analog designs

    Hello All, What exactly is the difference between the low Vt and high Vt ? which one is most suitable for analog applications at high frequencies Thanks to all who are eagerly waiting for questions to answer!!!
  10. D

    [Simulation] Difference between TetraMAX and VCS Simulation

    vcs is moreover like functional simulation with timing information.. but in tetramax we can do only fault simulation
  11. D

    TetraMax functional Simulation

    How to perform funtional simulation in tetramax? I have been trying this since week but unsuccessful result.. If anyone who had tried it please share your knowledge.. it would be great help
  12. D

    ERROR while BUILD using TetraMAX

    what if figured out is in the synthesized netlist we dont have loops so that's why its not recognizing for generate loop
  13. D

    ERROR while BUILD using TetraMAX

    that's what made me wonder :shock:
  14. D

    ERROR while BUILD using TetraMAX

    Hi , this is my program library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity full_adder_4bit_2 is port (a,b : in std_logic_vector(3 downto 0); Cin : in std_logic; S : out std_logic_vector(3 downto 0); Cout: out std_logic); end full_adder_4bit_2...

Part and Inventory Search

Back
Top