Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by dengreyes

  1. D

    DSP Blocks implemented in FPGA

    Can somebody upload documents related to this. Specifically circuit design (hardware ) of an FIR filter.
  2. D

    FIR/IIR Filter design Implementation

    iir filter application note Can somebody upload more documents related to this one? Like hardware or circuit( gate level or transistor level) implementation for FIR filter.
  3. D

    FIR/IIR Filter design Implementation

    iir filter design This application note discuss the theory, concepts and implementation of FIR/IIR Filters . Table of Contents SECTION 1 Introduction 1.1 Analog RCL Filter Types 1-4 1.2 Analog Lowpass Filter 1-5 1.3 Analog Highpass Filter 1-9 1.4 Analog Bandstop Filter 1-9 1.5 Analog...
  4. D

    DSP Blocks implemented in FPGA

    The file attached here will discuss the implentation of DSP building blocks in FPGA. It includes different implementation of FIR, IIR and many more.
  5. D

    amplifier Micro signal to control

    A better one is low noise amplifier together with a filter. by the way where do this signal come from
  6. D

    Looking for a good book about latches

    Re: latches all available off the shelf digital books or mixed signal design books will discuss about latches and flip flops.
  7. D

    Logic implementation of a Digital filter

    Can you suggest books that shows circuit design for fir filters? I want to see variants of logic implementation of fir filters. Thanks anyway.
  8. D

    Miller effect on BIP, what is it?

    What is the so called miller effect of the collector base capacitance of bipolar transistor. would some body explain how the common-emitter common base connection cancels the miller effect, please explain in an easily understood manner.
  9. D

    How to design a chopper comparator?

    how to design a chopper use an op-amp. your input signal goes to the inverting input. the signal to the non inverting input would be a triangular wave from an oscillator circuit, where its peak to peak value should be greater than the signal in the inverting. There are lot of text books that...
  10. D

    amplifier Micro signal to control

    Maybe you should use a filter after the amplifier stage to get only the frequency of signal you are interested with. Where do this signal come from anyway.
  11. D

    Logic implementation of a Digital filter

    do anybody can show some basic circuits that implement a digital filter. or can suggest where to find digital filter circuits implemented in gate level. example: what circuit represent the delay(z^-1) block in an FIR block; is it reprsented by a single flip flop...etc...

Part and Inventory Search

Back
Top