Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by DeepIC

  1. D

    verilog1995 or verilog2001

    which verilog do you use in IC design ? verilog1995 or verilog2001? Verilog2001 features are stable in Design Compile?
  2. D

    Looking for two verification books

    verification book? Thanks to yousif and jjww110. The 2 books are first edition, not 2nd editon.
  3. D

    Looking for two verification books

    verification book? who has these books? Priciples of Verifiable RTL Design, 2nd Ed. Writing testbenches functional verification hdl models, 2nd Ed.
  4. D

    which book(s) is the most classic book for RF circuit design

    rf circuit design theory and applications which book(s) is the most classic book for RF circuit design (not RF IC) ?
  5. D

    Where can I get a DFT workshop for Synopsys?

    DFT workshop where can i downlaod DFT workshop of syn0p$ys?
  6. D

    Does the new Verilog2001 in DC has no bugs?

    DC & verilog2001 i like to know if new features of verilog2001 in DC is no bugs, and can used in IC chip design?
  7. D

    VCS, NC-Verilog and Modelsim, which is the best simulator??

    simulation speed nc verilog i feel vcs 's gui is too simple, not as powerful as nc-verilog. i select nc-verilog.
  8. D

    TSMC Memory Compiler?

    memory compiler artisan they're some separate files, not include in artisan lib.
  9. D

    transistor level simulation

    Is transistor level simulation is a must for digital IC chip?
  10. D

    s y n o p s y s on redhat7.3

    Is newest s y n o p s y s 2003.06 tool can run on redhat7.3 ?
  11. D

    question regarding Synopsys VERA

    all your need is in vera pdf document.
  12. D

    Who will do ATPG in Asic design team ?

    ATPG In asic design team, who will responsible for ATPG? Front-end designer or back-end designer?
  13. D

    sign-off asic using verilog-xl/nc-verilog

    hi, what option/switch must be turned on/off to sign-off asic if use verilog-xl and nc-verilog? thanks
  14. D

    is synopsys going to port vcs to NT?

    but vcs7.0 seems not ported tp NT

Part and Inventory Search

Back
Top