Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by darockdr

  1. D

    VHDL to Schematic caaaadence flow

    Thanks kingslayer, I am having a license issue with encounter, but thank you for the steps to follow ! that was exactly what i needed. I ll get back to you as soon as i can start playing with encounter ! Best, David
  2. D

    VHDL to Schematic caaaadence flow

    Hello I have a vhdl file (behavioral .. if then ...) that i would like to synthesize with specific logic gate from my cadence Design Kit library. I am not sure where to start, it would be great if someone can direct me or show me the flow to do that . my vhdl is only combin logic but i don't...
  3. D

    CMOS level shifter 0/1.5 V to -0.75/+0.75V

    Thank you brad for the example, that was perfect !
  4. D

    CMOS level shifter 0/1.5 V to -0.75/+0.75V

    Hi, Do you guys have some design example for a CMOS level shifter 0/1.5 V to -0.75/+0.75V circuit ? Thanks a lot ! david
  5. D

    .vec spectre vector file to Eldo

    Is there a way to "convert" a spectre vector file to something that can be run with Eldo ? I have a long vector file that i need to simulate with Eldo ... Thanks, David
  6. D

    simulate compiled .so component

    simulate compiled .so component cadence Hello guys, I was given a component in a .so format (compiled verilogA is my guess) and i would like to make it a cell in virtuoso to include it in a schematic and simulate it with spectre. Do you guys know how to do that ? One told me to create a...
  7. D

    cadence VHDL AMS - ERROR (OSSHNL-116)

    Hi everyone, I have a cell "resistor" described in vhdl ams. It compiled fine and created 3 cell views : entity, behavioral and symbol I implemented the "resistor" symbol in a new cell schematic "xbar" I am trying to simulate this schematic using spectre in ADE L but I have this error...

Part and Inventory Search

Back
Top