Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Cutey

  1. C

    need DNA samples/database

    Hi all I need DNA database to use it in my reserch please, if there is any one know about it, I found database for fingerprint,iris, and many but didn't find about DNA samples please help me thanks in advance
  2. C

    can any one tell me about this code??

    hi evey one can any one tell me about the following code (division operation)which algorithm used? i heard about GCD algorithm but i have no idea about it, does this code use GCD algorithm or another one and what is the name of alg. follow here? please help me :::::::::::::::::the following...
  3. C

    an operation in C++ to VHDL

    Hi ppl How I translate the following operation in C++ to the same operation in VHDL for A=2; A<n ; A++ without using ( for ) operation in VHDL
  4. C

    How to calculate a power of y in VHDL ?

    Re: Power of y in VHDL even if don't use loop operation, I think it is possible to modify it by using if statement, am I correct?
  5. C

    How to calculate a power of y in VHDL ?

    Hi people how to calculate X^y in VHDL, actually i have a program in vhdl to multiply two number using shift adder and I want to modify it to power of y. here is my code multiply m by n, and I need to insert loop operation to work as multiply m by m for n time..... rchitecture Behavioral of...
  6. C

    How we can know the prime number in VHDL

    Hi people, how can detect or test prime number in VHDL? thanks in advance
  7. C

    about rundom prime generator

    please help me
  8. C

    about rundom prime generator

    yes i have Diffe-hellman key exchange if you know about it?? Diffie want to design it in vhdl please answer me
  9. C

    Diffie-Hellman key exchange algorithm(security)

    Hi all... I want to know about Diffie-Hellman key exchange algorithm, if there is any one know about it? and how to implement it in matlab?
  10. C

    about rundom prime generator

    wich one of the code is for generate rundom prime number?? i don't understand pleas?
  11. C

    about rundom prime generator

    hi all ppl I need a program about prime number generator in VHDL and primitive root of prime number in VHDL, if any know about that?please help me
  12. C

    about partial calculation

    Hi all, I heard late about partial calculation and I really intrested of and want to know how to do half integartion or half diviation by matlab??
  13. C

    PC hardware book, troubleshooting and fixing problems

    hi all, i want a book or file talking about Pc hardware and troubleshooting and how maintain and fix the problems in it thanks
  14. C

    do any one know about advanced source code?

    Hi all the site advanced source code has protection files and i can't open it or decode it can any one tell how to read it? or some one got the file about face protection? http://www.advancedsourcecode.com/index.asp
  15. C

    Find the R-R interval in ECG Matlab Code

    do you mean I can convert any file to another extention? as I heard that .hea or .xyz have to be with .dat files, so if i have files like these what exactly have to change to . txt ?? I will follow your way and tell you what will happen

Part and Inventory Search

Back
Top