Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by craftor

  1. craftor

    i2c to i2c switch in FPGA

    I have implemented an I2C master in verilog, it can read/write I2C-based EEPROM like AT24C0x. I cut the I2C bus control into 4 steps : start, stop, write, read. And any operation on an I2C device can be assembled by these steps. See **broken link removed**
  2. craftor

    XC9572XL CPLD JTAG Chain error (Xilinx iMPACT)

    try these steps: 1. check the package of XC9572XL that u have selected in your project in ISE, PC44? 2. connect anything u need and power on. 3. run iMPACT, do not create new project, click Boundary Scan (in the left) and then Initialize the JTAG Chain (in Menu->File). Can u find the...
  3. craftor

    FPGA or CPLD? Application question

    From my point of view , CPLD is better for combinational logic circuit while FPGA is better for sequential logic circuit.
  4. craftor

    Xilinx ISE 12.3 : library simprim not found

    first add <ISE>\verilog\src\glbl.v into ur project and then try : netgen -w -ecn conformal -ne -mhf clockbuf glbl
  5. craftor

    interfacing A/D to FPGA spartan 3000 series kit

    Re: interfacing A/D to FPGA Maybe this image can give u a help[/img]
  6. craftor

    How to become an expert in VLSI field?

    please help me No pains no gains.
  7. craftor

    How to generate a clock of 64KHz from FPGA in vhdl?.

    how to generate a 2 khz from a 1 mhz using vhdl Agreed with Kr,Avi. The codes I have given above is just an example, if you want to get 64KHz, you have to write the codes by yourself. GL! Craftor
  8. craftor

    Mentor Graphic HDL FpgAdv

    mentory graphics hdl designer hdl import wizard If you want to implement a project in @ltera's FPGA, you'd better use qu(at)tus, it will save a lot of problems.
  9. craftor

    VLSI training for beginners and intermediate level

    vlsi books for beginners I have an e-book named McGraw.Hill.VHDL.Programming.by.Example.4th.Ed.pdf which is for beginners. And I began my VHDL with it. Just send me an e-mail , I will send it back to you. Craftor. craftor#126.com.
  10. craftor

    VHDL to schematic conversion

    Qu(ar)tusII can do that too.
  11. craftor

    How to generate a clock of 64KHz from FPGA in vhdl?.

    how to generate a clock Hers is an easy example about clock division which is 50%duty cycle, hope it will help you . Craftor library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity clkdiv is generic ( n : integer :=10); port ( clkin ...
  12. craftor

    Pulse detection techniques

    Here is an example about ADC0809, hope it help you . Craftor library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ADC0809 is port ( d : in std_logic_vector(7 downto 0); clk,eoc : in std_logic...
  13. craftor

    using integer arrays in VHDL

    vhdl 1 & integer Well, if I want to assign values in array like: type type_array is array(0 to N-1) of std_logic_vector(o to N-1); signal tmp : type_array; how should I do ?

Part and Inventory Search

Back
Top