Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ChanKim

  1. C

    How to make ncsim overwrite .trn file every time it runs?

    hi, it's been some years I'm doing RTL simulation. when I run ncsim, the wave file (trn) file is generated with incrementing numbers like below. ckim@stph45:~/Neuro/convhw] ls tb_conv.shm tb_conv-1-1.trn tb_conv-3-1.trn tb_conv-5-1.trn tb_conv-7-1.trn tb_conv-1.dsn tb_conv-3.dsn tb_conv-5.dsn...
  2. C

    ncsim, can't record signals with SYSTF DBPRVIS warning

    I learned that I should have given "-access rwc" option to the ncelab command, not ncvlog command.
  3. C

    ncsim, can't record signals with SYSTF DBPRVIS warning

    to my ncvlog -debug tb_conv.v I got ncvlog: 12.20-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc. ncvlog: *F,INVDBX: invalid debug license. - - - Updated - - - Oh, I should have give -access rwc to ncelab command.
  4. C

    ncsim, can't record signals with SYSTF DBPRVIS warning

    Hi, It's been years since I worked on Verilog design last time. To do a simple test, I tried running Verilog simulation and I get this warning during simulation. and I can't see the signals in simvision window. The line I get this error is marked below (by '<=== here') initial begin...

Part and Inventory Search

Back
Top