Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cevitamic

  1. C

    Differences betwen Laplace and Fourier Transform

    1. For some functions \[f(t)\], the integration \[\int_{-\infty}^\infty f(t) e^{-j\omega t}dt\] will not converge, so its Fourier transform does not exist. 2. For such functions, if we still want to have a certain transform domain representation of \[f(t)\], we need to make the function to be...
  2. C

    radio AM/FM reciever in FPGA?

    fpga based am fm ssb demodulator Hi Renjith, I still insist my opinion. The following are my reasons: 1) You may know the famous "passband sampling theory". Its main idea is that for a given bandwidth limited passband signal, you can sample the passband filtered signal with a specific...
  3. C

    which style is better

    You know that there two kinds of models in Verilog descriptions, one is called "behavioral model" and the other one is called "logical model". It's usually recommended to use "logical model" in designs. In my opinion, both of them are "behavioral model", that means how this functional is...
  4. C

    radio AM/FM reciever in FPGA?

    zero crossing fpga opencore It's POSSIBLE! Perhaps you heard about Software Defined Radio, or SDR, which suggests that use digital devices in radio facilities as much as possible. But you still have to design some analog parts: RF front-end, and baseband signal amplifer. 1) RF front-end, an...
  5. C

    How to do interface for microprocessor using VHDL or FPGA?

    Re: please help me Some confusions you may have: 1) Either VHDL or Verilog HDL is just a kind of Hardware Description Language which is used to DESCRIBE your hardware designs. It's not a physical logic device althought you can synthesize your HDL codes to implement a logic device on FPGA or...
  6. C

    How to Write "Literate" FSM in Verilog HDL?

    verilog fsm parameter In VHDL, the states of a FSM can be defined as enumerate types, so that in ModelSim, literate state names can be shown. How can I do the similar thing in Verilog HDL?
  7. C

    Error when installing SPW on Linux

    SPW Installation Help! I try to install spw on linux these days, how ever, I met a problem, could any one please tell me how to resolve it? I installed spw481 on redhat 7.2, when i finished the installation, starting up the license server, i try to config spw. During the configuration, it...
  8. C

    how i can do a array of various data types with classes???

    Re: how i can do a array of various data types with classes? If you are using C++, there are 3 chooses: 1) you can write the class by using template. 2) you can use Standard Template Library 3) If you are using Visual C++, you can try MFC collection classes
  9. C

    how to learn C language ?

    turbo c by robert lafore solution manual "The C Programming Language, 2nd Edition" is really cool for standard C learner!
  10. C

    Guides needed to generate graphic using VB

    For the firstquestion, usually we calculate some function value at some discrete point and connect them sequentially to approximate the graph of the function. The following code fragment can show you the main idea x(0) = x0 + LowX y(0) = f(x(0)) - y0 MoveTo (x(0), y(0)) for i = 1 to N x(i)...
  11. C

    How to program by assembler connecting 2 PC by using LPT?

    Re: How to program by assembler connecting 2 PC by using LPT well, its a classic problem in micro-computer and its peripherial. first of all, you need to be aware that there're several modes in parallel port on pc, e.g. spp, ecp and epp. for any mode, there are 3 ports, data port (in some mode...
  12. C

    Some Good Stuff for Physical Synthesis

    thx /Warning #1 - No "Thank you" messages here! (klug)/

Part and Inventory Search

Back
Top