Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ceet

  1. C

    Error loading systemC design unit on ModelSim SE 10.0e

    Dear all, I'm new on this forum and I'm glad to join your community. I face a problem when I try to simulate a systemC program with ModelSim. Here the message error: # vsim work.sc_main # ** Note: (vsim-3812) Design is being optimized... # ** Error: (vopt-7) Failed to open debug database...

Part and Inventory Search

Back
Top