Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cbeighley

  1. C

    Tricky generation of sin / cos LUT with passed generics?

    Thanks, that was a really helpful example. ---------- Post added at 15:35 ---------- Previous post was at 15:28 ---------- However it occurs to me that using a ROM with so many indexes will likely use a lot of resources - in my case the LUT will be 2^17 addresses long , whereas the...
  2. C

    Tricky generation of sin / cos LUT with passed generics?

    Hello, I am wondering if there is an elegant way to implement in VHDL a sin/cos lookup table for a complex local oscillator with generics. Specifically what I want to do with to be able to specify the width of the input phase (for instance 8 bits, 0 to 255 corresponding to 0 to 2*pi) and the...

Part and Inventory Search

Back
Top