Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by C. Lee

  1. C

    Problem of post-simulation using ncverilog

    failed attempt to annotate to non existent path I finished gate-level synthesis. Then I dumped out .sdf and .v for gate-level simulation. After I did the gate-level simulation with SDF timing annotation I got the following error messages: ncelab: *W,SDFNEP: Failed Attempt to annotate to...

Part and Inventory Search

Back
Top