Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by brobinson

  1. B

    Accessing PCM Flash memory in a Nexys 3

    Doh, never mind... figured it out, hadn't mapped the MemOE pin in the UCF file. Stupid mistake, lesson learned. The originally posted VHDL code works fine.
  2. B

    Accessing PCM Flash memory in a Nexys 3

    I'm bumping this, hoping for any clue as to what could be wrong. I've tried everything I can think of, can't get anything sensible to happen and no idea what to try next.
  3. B

    Accessing PCM Flash memory in a Nexys 3

    Hi, First post here and completely new to fpga, please be gentle :) I'm trying to teach myself a bit about FPGA's and have read a bunch of books, done a few experiments with a Nexys 3 board, even managed to get the T80 (Z80 cpu) core up and running, but have now hit a brick wall. I'm trying...

Part and Inventory Search

Back
Top