Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by bravo11

  1. B

    how to convert VHDL code to verilog code...help

    thanks but what are that tools which supports mixed languages..... ---------- Post added at 12:22 ---------- Previous post was at 12:21 ---------- As i have to call this code inside my verilog code .....how can i do that..
  2. B

    how to convert VHDL code to verilog code...help

    this is not my home work .....its just piece of work used in my project ,i did this coding in vhdl but i dont know verilog ..as i have to call this code in my top module code which is in verilog....... so i have to convert my this code to verilog.....
  3. B

    how to convert VHDL code to verilog code...help

    library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; entity PowerControl is port ( Clk : in std_logic; Reset : in std_logic; ms : in std_logic -- xbus_hw_idct enable bit pse_m : out std_logic); --IDCT switch and isolation control power shut off...

Part and Inventory Search

Back
Top