Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by brasilino

  1. B

    always block blocking assigment synthesis

    Thanks for the reply. I agree with FvM, you are forgetting the blocking assignment. But, anyway, my question was in the regards of hardware synthesis, not its behaviour. Makes sense on the verilog preprocessor/compiler/whatever to infer a three port AND gate. My question was based in what...
  2. B

    always block blocking assigment synthesis

    Hi, I'm not a Verilog guru but have some experience. My question is about how a always block is synthetized in hardware, not its behaviour. let's suppose I've got an always block as: input wire a, b, c; output reg y; always @(*) begin y = a; y = y & b; y = y & c; end Since I'm...

Part and Inventory Search

Back
Top