Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by billkas

  1. B

    VHDL/Modelsim: simulation output to file?

    Hello everyone, Is there a way to create a simulation output file in VHDL? I'm using Modelsim. So far I've only found something about a TEXTIO package, but I'm not quite sure on how to integrate this into my testbench code (Google didn't help enough this time :-| ) Any advice would be...
  2. B

    Verilog code error I can't define!

    Thank you very much for your answers, I've found out what the mistake was! I'll post the right one asap!
  3. B

    Verilog code error I can't define!

    Thank you for the answer! I did exactly what you suggested, but still it won't compile :/
  4. B

    Verilog code error I can't define!

    Hello everyone! I'm a noob in Verilog and I've written this module: module databus_send(data_out, signature, data_send, data_last); output [31:0] data_out; output signature; input [31:0] data_send; input [31:0] data_last; integer i, count; assign count = 0; //hamming distance...

Part and Inventory Search

Back
Top