Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ayumolek

  1. A

    VHDL concatenationns

    I don't have... but I have the flowchart..
  2. A

    VHDL concatenationns

    so what should I do? I target the decompression bitstream input 42-bit data back to the original data which is 64bit .. I create a table or dictionary to refer return get to the original data. my problem how do I read the instructions in each input bitstream to read 2bit or 8bit, here I am...
  3. A

    VHDL concatenationns

    --/RULE/ --architecture NORIDAYU of DECOMPRESS is --Bit input 64-bit 00100110 11110000 11011010 00000001 11111010 01101100 00000001 00001000 --Output compression 33-bit is 00 11110000 11011010 11 01 10 11 00001000 --From the output to guide/mention (asume that) Bit_0 set to read...
  4. A

    VHDL concatenationns

    entity DECOMPRESS is port ( decompress0,decompress1,decompress2,decompress3,decompress4,decompress5,decompress6, decompress7 : buffer std_logic_vector (7 downto 0); decompress_full : out std_logic_vector (63 downto 0) --output 64 bit ); end DECOMPRESS; architecture Behavioral of...
  5. A

    VHDL concatenationns

    Hye all, Can I can learn more about concatenations.. I have the problem to combine the output use this operator. Thanks all..
  6. A

    VHDL CODE BIT BINARY by LZW DECOMPRESSION TECHNIQUE

    I’m new in learn vhdl and need some help. But I try my best to written in VHDL code for LZW decompression technique. Methods for NoC architecture optimization for better energy saving have been introduced over the past several years including packet compression and decompression methods. The...
  7. A

    VHDL CODE BIT BINARY by LZW DECOMPRESSION TECHNIQUE

    Hi all.. Now I make a project in VHDLcode., can anyone help me to share ur knowledge the VHDL code to binary bits by using LZW decompression technique. I appreciate the feedback from all of you and to complete my project.

Part and Inventory Search

Back
Top