Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by arpith

  1. A

    DIFFERENCE or COMPARISON BETWEEN USB1.1 AND USB2.0

    difference between usb1 and usb2 PLZ SOMEONE TELL ME THE MAJOR DIFFERENCE BETWEEN THE USB1.1 AND USB2.0 APART FROM ITS DATA RATE TRANSFER.... ANY DIFFERENCE ITS PRETTY URGENT SO SOMEONE HELP ME SOON.....
  2. A

    pls send a ready code to test USB 1.1 Host_Slave(open cores)

    testowanie usb 1.1 can anyone pls help me to test USB 1.1 Host Slave core available in opencores.org(wishbone compatible). if a ready code is available or any other methods of testing, kindly help me...
  3. A

    advantages of vibratory gyroscope over spinning gyroscope

    can someone plz tell me the advantages of vibratory gyroscope over spinning gyroscope..... its very urgent.. plz help me.... :?:
  4. A

    applications of vibratory gyroscope

    can anyone plz help me wid dis... its urgent... i want a detailed explanation of applications of vibratory gyroscope with the technology... how exactly its( vibratory gyroscope) bein used in navigational systems, anti-lock brake systems....
  5. A

    radar system(block diagram)

    block diagram radar system can anyone please send me the detailed view (block diagram) of radar system,specifically where exactly the i/o cards are placed.
  6. A

    does UP3-1C12 FPGA board suppport USB 2.0

    Please can anybody tell me if UP3-1C12 FPGA Board USB 2.0 compatible. and also wat is the global clock frequency of this FPGA board.
  7. A

    USB 2.0 core implementation on FPGA

    fpga usb core hi, can anybody pls help me to implement USB 2.0 core on FPGA... its for a project... kindly reply soon.... thanq

Part and Inventory Search

Back
Top