Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by arnoldwbush

  1. A

    [SOLVED] VHDL - Combinatorial feedback loops in JK FF but not in D FF

    Ah, thanks FvM After inserting a transport delay, the issue of uninitialized outputs is, indeed, resolved.
  2. A

    [SOLVED] VHDL - Combinatorial feedback loops in JK FF but not in D FF

    I will read up on the ring oscillator to better understand your comment. Do you recommend any specific source for that? Meanwhile, I wired up the master-slave JK FF as follows. My JKFF looks like: architecture Behavioral of jkff is signal a, b : std_logic; signal q_i, qb_i : std_logic := '0'...
  3. A

    [SOLVED] VHDL - Combinatorial feedback loops in JK FF but not in D FF

    Hi That's similar to my original code. Nonetheless, I pasted your code, fixed a minor typo and simulated it. The result is: Thanks Arnold
  4. A

    [SOLVED] VHDL - Combinatorial feedback loops in JK FF but not in D FF

    Hi FvM Thanks for the reply. First off, this is an academic exercise and I am trying to understand the objective behind this. I can simulate a JK FF using behavioural syntax (if-else) but not using logic gates. I was a bit inaccurate in my statement. I am simulating it. By "synthesize", I meant...
  5. A

    [SOLVED] VHDL - Combinatorial feedback loops in JK FF but not in D FF

    Hi everyone Consider the JK flip flop schematic below: I write the following VHDL code for it: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity jkff is Port ( j : in STD_LOGIC; k : in STD_LOGIC; clk : in STD_LOGIC; q : out STD_LOGIC; qb ...

Part and Inventory Search

Back
Top