Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by appu1985

  1. A

    assign a value to output

    ok if u do it a register and i want that register value to be in pim after a delay of 100 what does the code look like,
  2. A

    assign a value to output

    I have this code i just want the output of pim to be any integer value after 100s what should be done.. The value in t should be assinged to pim which is displayed on the waveform irrespective of the inputs module wave(mode,p,x,clk,pim); input [1:0]mode; input [3:0]p; input [7:0]x; input clk...
  3. A

    System is not syhtesizing

    Hello echo47 can u chk my code pls....
  4. A

    System is not syhtesizing

    actually those are wires .... i'll check those things besides i am sending you the pe3 module and others in it Added after 1 minutes: `timescale 1ns / 1ps module pe3(clk,i,x,p,psw,psyi,mode,io,xo,po,pswo,psyo); //Here evey where PE refers to the PROCESSING ELEMENT parameter divfac=128...
  5. A

    System is not syhtesizing

    module system(clk,mode,x,p,pim); parameter elements = 10; //This is the number of processing elements we want to have it in our design. input clk; input [1:0]mode; input [7:0]x; input [3:0]p; output [3:0]pim; wire [elements:0] conti; wire [elements:0] contx; wire [elements:0]...
  6. A

    The simulation results are not coming..

    thanx i think the mistake was with the definition of w[size-1:0]
  7. A

    The simulation results are not coming..

    the mode 01 does not need psyi to be used...
  8. A

    The simulation results are not coming..

    the module out11 and out22 are as below.. module out11(clk,xi,w,psi,psj); parameter divfac = 128; input clk; input [7:0] xi; //The pixel Value input to the PS Calculator for projection. input [7:0] w ; //The weight vector value input to the PS Calculator for projection evaluation. input...
  9. A

    The simulation results are not coming..

    module pe3(clk,i,x,p,psw,psyi,mode,io,xo,po,pswo,psyo); //Here evey where PE refers to the PROCESSING ELEMENT parameter divfac=128; //The factor used to implement decimal multipication of learn rate and weight. parameter size =1; //The Image size or the number of pixels in the image...
  10. A

    Searching in a memory array

    Its ok..but if u could show me this with the help of some example... pls
  11. A

    Searching in a memory array

    How can we code in verilog to find a minimum value in a array .
  12. A

    Schematic Diagram of Synthesized Code

    Thanx for your help echo
  13. A

    Schematic Diagram of Synthesized Code

    echo47 i am waiting for your guidance
  14. A

    Schematic Diagram of Synthesized Code

    What do you exactly mean by arithmatic overflow and underflow ... and can you point out all the errors in width mismatch pls.. I shall be glad Added after 2 minutes: Pls just point out the various problem which you can identify easily to remove this problem of pim = 0 , I think by the...
  15. A

    Schematic Diagram of Synthesized Code

    module system(clk,mode,x,p,pim); parameter elements = 10; //This is the number of processing elements we want to have it in our design. input clk; input [1:0]mode; input [7:0]x; input [3:0]p; output [3:0]pim; wire [elements:0] conti; wire [elements:0] contx; wire [elements:0]...

Part and Inventory Search

Back
Top