Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by appleleaf

  1. A

    HOW TO find critical path

    By using static timing analysis tools, such as prime time, you could get the critical timing path from the timing reports.
  2. A

    Clock divider by 3 with 50% duty cycle?

    divide by 3 counter with 50% duty cycle I think that using both edge of the input clock will be another soluation.
  3. A

    How to handle this case in DC synthesis?

    This reset style is fine, and there could be more complex reset as well. The DFT autofix will handle the reset problem automatically. Or you can add Scan friendly structure manually.
  4. A

    Which verification methodology is the best for RTL code?

    Verification Methodology When you want to verify the RTL code, the better way is to use PLI. I think that it is the actual industry standard for extensive verification. Usually RTL test bench is limited in terms of stimulus generation.
  5. A

    How to use the ASIC libraries during synthesis (Synopsys)?

    Re: ASIC libraries The fast and slow library can be used in Primetime for both case timing analysis.
  6. A

    Synopsys Astro 2003.03 how to setup

    Astro IU can do netlist and routing edit, it combines semiautomated and interactive routing and editing, for design optimization. You can do bus routing, shielding, pre and post route cell inserting, deletion and placement adjustment. It provides super function when you manually work on the...
  7. A

    Req: seamless documentation

    I heard that $eamles$ has released Redhat LInux versions. Is it available some where? Thanks,
  8. A

    Poll: ASIC design tools for Solaris

    Besides the tools, another important thing is the design KITS. Libary from the same ASIC vendor must be used from simulation, synthesis, DFT, place route, LVS/DRC/ERC, and even transister simulation. It is difficult to find such a good design Kit that support all the tools. Who can provide...

Part and Inventory Search

Back
Top