Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ankit1312

  1. A

    Are design job interviews harder than any other interviews?

    Thank you mjbillo....This makes sense.
  2. A

    How to create single bit odd parity generator?

    Can somebody give me an idea on how to create single bit odd parity generator?
  3. A

    is there is video tutorials for systemverilog?

    I tried a lot but couldn't find any proper video.
  4. A

    Compilation unit in SystemVerilog.

    Can anybody please give me an idea of compilation, compilation unit and source files in SystemVerilog? Thank you.
  5. A

    Are design job interviews harder than any other interviews?

    Hi guys, My question is 'Are design job interviews harder than any other interviews?' like processor design, CPU Design or Logic Design etc. and if yes then why?
  6. A

    dft interview questions

    Hi, It's a great work for DFT preparation. Can you please upload some interview questions related to JTAG and Boundary Scan?
  7. A

    How to find a job after graduating in VLSI design?!

    I am having exactly the same problem. I am gonna be graduated in Dec. 2011 and couldn't find any internship or full-time job. I am really interested in my field 'Digital VLSI Design' and I don't wanna go in software or communication field. Although I am in the middle of silicon valley...

Part and Inventory Search

Back
Top