Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by anilkumar9030

  1. A

    [Moved]: roundrobin using verilog hdl

    how to change the state machine.can you please explain detail how can i allocate two requests
  2. A

    [Moved]: roundrobin using verilog hdl

    i had a problem in roundrobin when two requests are granted .when there is only one request it is allocating the grant properly but when there are two requests it is allocating grant only for one state but i want it to allocate for two states?.how can i do that any suggestions

Part and Inventory Search

Back
Top