Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by AndyECE

  1. A

    Why Friis equation works

    if you look at the first equation listed in https://en.wikipedia.org/wiki/Friis_transmission_equation you see that they write proploss as (Lambda/(4*pi*R))^2, where R is the distance between the two antennas. This is the part of the equation that deals with the fact that energy is spreading in...
  2. A

    how to generate normally distributed R.V in matlab?

    Unfortunately, it is not possible to give you a direct answer, since your requirements are inconsistent. You asked that the variable be (1) normally distributed, and (2) bounded by 0 and 1. In a rigorous sense, any normally distributed variable is bounded by -inf and +inf. You can see that by...
  3. A

    pdf of product of two random variables

    Summing, multiplying, and dividing uncorrelated random variables is handled in this paper. See Examples 6,7, and 8. https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1026999&isnumber=22050
  4. A

    Final Year Project in RF/Microwaves/Antenna Designing

    Dual-polarized phased arrays is an area that's receiving a lot of attention, and there are still relatively few solutions to the engineering and signal processing issues associated with them.
  5. A

    Patch Antenna design - REALLY easy tutorial needed

    I can NOT understand Gandalf - This board works much better if you can pose a specific question. Google has many results for general tutorials on patch antenna design and feed networks. As written, your question really does come across as vfone interpreted it
  6. A

    Need a help - how to make acquisition of the signal?

    Need a help Ir is simple. Go National Instrument and buy drigital I:O card
  7. A

    how to enter drawing from data file in HFSS

    What format is the drawing? I believe HFSS will import ACIS, DXFs, and I seem to remember that it will do STL as well
  8. A

    Matlab - Read a list of file namse form an ascii file

    fid = fopen('filenames.txt', 'r'); a = textscan(fid, '%s'); fclose(fid) This will load it to a cell array
  9. A

    Frequency domain representation of time domain signal(Matlab

    Guys - Nothing personal, but I'm not interested in PMing each other. I am here to contribute to the forum by helping introduce you to signal processing in Matlab in a practical way. I am not here to have you email me code to debug. Do you guys have a link to a reference for what you are...
  10. A

    Frequency domain representation of time domain signal(Matlab

    When Matlab calculates the FFT, arranges the frequency axis with f=0 (i.e. DC component) as the start point. We are used to seeing DC as the center of the graph, so all fftshift does is swap the left and right halfs of the data so that the zero frequency part is in the middle. This is good to...
  11. A

    Frequency domain representation of time domain signal(Matlab

    In reality, Matlab is not performing a true fourier transform. Rather, it is performing a discrete fourier transform. In order to unambiguously measure a frequency, you must take at least two samples per period. This means that my sampling rate limits how high a frequency I can measure. The...
  12. A

    Frequency domain representation of time domain signal(Matlab

    Welcome to Matlab! You will find that it is extremely useful for this type of analysis. It has many built-in capabilities for transforming between frequency and time domain. The thing to remember is that Matlab does everything numerically, not symbolically. As a result, you usually end up...
  13. A

    Binary Number repesentation in MATLAB

    Binary Number in MATLAB you could use fliplr, or are you looking for a matlab setting?
  14. A

    Needed: Peaks and Troughs Algorithm

    You have to lowpass the data first, otherwise you will get too many false troughs Here's an example script I threw together: Added after 8 seconds: %Create a signal that looks kinda like yours t = 1:1000; noise = randn(1,length(signal)); signal = 10*sin(.02*t) + 4*sin(.05*t)+noise; %Output...
  15. A

    How to calculate S21 in a waveguide

    Any microwave engineering book will help you with that. I recommend "RF and Microwave Engineering" by Pozar, but really almost any will do.

Part and Inventory Search

Back
Top