Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amritmani

  1. A

    need verilog code for statemachine

    hi, I want a state machine and the verilog/vhdl code which will convert the following string example:AA0BCDD2CDEE1 to converted output: AABCDDDDCDEEE this string is an example of the decoder. I need code which will read data from memory and give the converted output. please help. I need this...
  2. A

    need to convert it to vhdl

    this Spark is Not working for my code. it is giving the following error........ please help if anyone has any idea about how to remove this error SPARK Version 1.2 (built on Feb 4 2004 17:31:10) is initializing ... Done! "/usr/include/gnu/stubs.h", line 7: catastrophic error: could not open...
  3. A

    need to convert it to vhdl

    hi I have the folloing decoder code in C can anyone please send me the code in VHdl which decode a input file and read it from memory. I urgently required that. I am new /* Filename: GTRLE35.C Description: A Run-Length Encoding (RLE) Implementation. How it works: If there is a...
  4. A

    need rle decompression hardware code in vhdl

    Hi, thanks! but those files are for text compression I need a encoder decoder for bit stream compression. configuration bitstream file only have 1 and 0 s. if i use those code it cant distinguish between integer 1 and binary 1.
  5. A

    need rle decompression hardware code in vhdl

    Hi all, I need a rle compressor and decompressor code in vhdl which can compress a decompress bit stream file. please help!!

Part and Inventory Search

Back
Top