Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amisra24x7

  1. A

    ERROR:HDLParsers:164 - parse error, unexpected PORT, expecting OPENPAR or TICK or LS

    Dear everyone, I am facing few syntax errors in my following code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity verifier is Port ( clk : in std_logic; sum : in std_logic_vector(3 downto 0); cy ...

Part and Inventory Search

Back
Top