Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amd1416

  1. A

    4 bit up down counter with programmable modulo value

    I know he said that I need to use a clock enable. But I need to use this clock division file in my design so how would I implement this file in my counter's code
  2. A

    4 bit up down counter with programmable modulo value

    What about this code now? [incorrect version removed by moderator, for better readability] - - - Updated - - - I made a mistake in the previous code I posted and here is the correct one: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use...
  3. A

    4 bit up down counter with programmable modulo value

    hi guys that idea to doing the counter was bad and I overcomplicated thinks. Now I have written a new code and I would like you to check if now I am applying the clock divider correctly and if my mod value here can be controlled: here is the code: library IEEE; use IEEE.STD_LOGIC_1164.ALL...
  4. A

    4 bit up down counter with programmable modulo value

    hi guys, I have done it but now I need to implement this clock divider in the divider code I have made a new file where I am using both the counter and the clock divider as components to do the task together, here are my codes: code for original clock divider that I have been...
  5. A

    4 bit up down counter with programmable modulo value

    ok thank you I have made the modifications to the code and now it looks like this: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter4bit is port( mod_value: in std_logic_vector(0 to 3); clock: in...
  6. A

    4 bit up down counter with programmable modulo value

    Yeah it does roll over when it reaches "0000" so how do we add that in?
  7. A

    4 bit up down counter with programmable modulo value

    thanks for the reply i have fixed the code now and here it is. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter4bit is port( mod_value: in std_logic_vector(0 to 3); clock: in std_logic; enable_count...
  8. A

    4 bit up down counter with programmable modulo value

    Hi ads-ee, thanks for the reply I have made the correct adjustments and my code is this now library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter4bit is port( mod_value: in std_logic_vector(0 to 3); clock...
  9. A

    4 bit up down counter with programmable modulo value

    Hi everyone, I am designing a 4 bit up and down counter that when counting up has a programmable modulo value. Here is my code for the whole counter with modulo but I keep getting errors that I don't know how to solve. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use...

Part and Inventory Search

Back
Top